candle Posted May 2, 2010 Share Posted May 2, 2010 Is there any bigger OSS carts bigger than 16k? according to this site those cars suppose to have up to 4 banks, but i can't find any bigger than 16k Quote Link to comment Share on other sites More sharing options...
Rybags Posted May 2, 2010 Share Posted May 2, 2010 Not that I know of. 4 banks includes the "fixed" one that appears at $B000-BFFF. Newer banking scheme gives 4 bank options = 3 banks to $A000 segment plus "switched off" option. Plus, aren't ROMs always singular or paired up same-sized chips, so size always = a power of 2. Quote Link to comment Share on other sites More sharing options...
candle Posted May 2, 2010 Author Share Posted May 2, 2010 i would not know 4 banks would be 27256 eprom chip, but all i see is 16k images that do work as intended - i wanted to pile them up on SDX build and try to make them swichable as they would be separate carts on SDX cart slot i've build once small configurable cart having 512 of flash rom and 512k of ram, and since its cpld based i can implement any bank switching i want with it i've already did XEGS super cart, SDX cart and MaxFlash 8mbit cart (with upper 512k mapped on ram chip), now i'm experimenting with pass-through feature that original SDX cart has Quote Link to comment Share on other sites More sharing options...
candle Posted May 3, 2010 Author Share Posted May 3, 2010 well how about simulated pass through SDX cart that has 4 OSS cars inside? under SDX "CAR" command turns selected OSS cart on, and POKE $D5C0,n select n cart (n=0 -> Action!, n=1 Basic XL, n=2 -> Basic XE and finally n=3 -> MAC/65) 28% of CPLD resources were taken by this, so there is plenty of space for really complicated banking schemes and here is the code for it RD4_Int<='0'; WR0<=NOT (PHI2 AND NOT RW); WR1<=NOT (PHI2 AND NOT RW); RD<=NOT (PHI2 AND RW); CLK<=NOT CCTL AND PHI2; ROM<=NOT (NOT S5 AND ENABLED); CS0<='1'; ROM_Mapper: process (SDX_REG,OSS_REG,EXTERN) begin if EXTERN='1' then AB(5)<='1'; AB(4 downto 2)<=CAR_TYPE; AB(1)<=OSS_REG(1); AB(0)<=OSS_REG(0); else AB(5)<='0'; AB(4)<='0'; AB(3)<=not SDX_REG(4); AB(2)<=not SDX_REG(2); AB(1)<=not SDX_REG(1); AB(0)<=not SDX_REG(0); end if; end process; CAR_TYPE_Register: process (clk, A_Bus,DataIN) begin if A_Bus(7 downto 5)="110" and RW='0' and falling_edge(clk) then CAR_TYPE<=DataIN(2 downto 0); end if; end process; SDX_Register: process (clk, A_Bus) begin if A_Bus(7 downto 5)="111" and RW='0' and falling_edge(clk) then SDX_REG<=A_Bus(4 downto 0); end if; end process; SDX_Mux: process (SDX_REG,S4,S5,RD4_Int,RD5_Int) begin if SDX_REG(3)='1' and SDX_REG(2)='1' then -- "11" - SDX Off, Ext Off S4_Int<='1'; S5_Int<='1'; RD4<='1'; RD5<='1'; EXTERN<='0'; ENABLED<='0'; elsif SDX_REG(3)='1' and SDX_REG(2)='0' then -- "10" - SDX Off, Ext On S4_Int<=S4; S5_Int<=S5; RD4<=RD4_Int; RD5<=RD5_Int; EXTERN<='1'; ENABLED<='1'; else -- "01" or "00" - SDX On, Ext Off S4_Int<='1'; S5_Int<='1'; RD4<='0'; RD5<='1'; EXTERN<='0'; ENABLED<='1'; end if; end process; OSS_Register: process (clk, A_Bus) begin if A_Bus(7 downto 5)="000" and RW='0' and falling_edge(clk) then OSS_REG(1)<=A_Bus(3); OSS_REG(0)<=A_Bus(0); end if; end process; OSS_Enable: process (OSS_REG) begin if OSS_REG="10" then RD5_Int<='0'; else RD5_Int<='1'; end if; end process; currently, only Bob1200xl has this cart, and there are only few in existence, but hey - its a nice toy Quote Link to comment Share on other sites More sharing options...
Rybags Posted May 3, 2010 Share Posted May 3, 2010 Sounds cool. Is this to be some new flashcart or is it planned for building into your 1 meg RAM expansion? Another thing - I've already hacked Mac-65, albeit not too elegantly, to work as a RAM-based app using VBXE banking. No reason why all the OSS stuff couldn't be hacked to use a singular scheme if it helped out at all. Quote Link to comment Share on other sites More sharing options...
candle Posted May 3, 2010 Author Share Posted May 3, 2010 it was quick project i did almost a year ago, just in responce to "corina" design - its cheaper and more versalie, yet noone seems interested Quote Link to comment Share on other sites More sharing options...
a8isa1 Posted May 3, 2010 Share Posted May 3, 2010 it was quick project i did almost a year ago, just in responce to "corina" design - its cheaper and more versalie, yet noone seems interested SDX plus OSS carts would be cool. Off Topic: would Turbo BASIC XL+compiler+linker+some DOS (MyDOS, BEWEDOS) ever be a possibility? - Steve Sheppard Quote Link to comment Share on other sites More sharing options...
candle Posted May 3, 2010 Author Share Posted May 3, 2010 Have no clue Steve, was it in form of cartridge? Can You make one? i'm not an 6502 coder, so i would not cope with such task, but most probably someone here would be capable od making it possible Quote Link to comment Share on other sites More sharing options...
a8isa1 Posted May 3, 2010 Share Posted May 3, 2010 Have no clue Steve, was it in form of cartridge? Can You make one? i'm not an 6502 coder, so i would not cope with such task, but most probably someone here would be capable od making it possible Nir Dary created images for a XEGS cart version of Turbo BASIC XL and compiler. Linker was not included. I think the linker is something that was hacked together by someone else, but it works, and would be a nice addition as would some on cart DOS. I'm not sure if the cart was switchable or standard XEGS cart. My 6502 coding skills are pretty limited as well but I'm thinking that expanding the above cart is probably more a of coding task than of hardware. - Steve Sheppard Quote Link to comment Share on other sites More sharing options...
trub Posted September 24, 2010 Share Posted September 24, 2010 I've been playing a while with Candle's all-in-one cartridge, which was announced above. It's really great and very flexible ! I have enhanced the internal logic of CPLD and now it provides four cartridge "slots": SpartaDOS X 128kB (upgradable) 4xOSS cartridges (Action, Basic XL, Basic XE, Mac/65) Maxflash 1MB (flashable) Diamond GOS Note that each "slot" uses a different banking scheme. The cartidge roms don't need to be patched because the logic mimics the original banking. SDX controls all the stuff. To run one of the "virtual" cartridges, you just put its number to control register and then issue "CAR" from SDX command line. Under OSS cartidges you can return to SDX with "DOS" command. Maxflash slot can be programmed with .BIN files prepared for the regular MF1 cartidges. I had to prepare a customized version of DLT flasher for the purpose, because the flashing utility from Atarimax does not operate on the virtual cartidge (differences in hardware). Diamond GOS slot has been done just for testing. I'm considering replacing it with another Maxflash 1MB slot. Quote Link to comment Share on other sites More sharing options...
spookt Posted September 24, 2010 Share Posted September 24, 2010 Sounds fantastic! Thanks to you and Candle for the hard work. Quote Link to comment Share on other sites More sharing options...
flashjazzcat Posted September 25, 2010 Share Posted September 25, 2010 Sounds quite intriguing. Quote Link to comment Share on other sites More sharing options...
+Stephen Posted September 25, 2010 Share Posted September 25, 2010 Sounds awesome - any chance this will be released? Quote Link to comment Share on other sites More sharing options...
Dropcheck Posted September 25, 2010 Share Posted September 25, 2010 I've been playing a while with Candle's all-in-one cartridge, which was announced above. It's really great and very flexible ! I have enhanced the internal logic of CPLD and now it provides four cartridge "slots": SpartaDOS X 128kB (upgradable) 4xOSS cartridges (Action, Basic XL, Basic XE, Mac/65) Maxflash 1MB (flashable) Diamond GOS Note that each "slot" uses a different banking scheme. The cartidge roms don't need to be patched because the logic mimics the original banking. SDX controls all the stuff. To run one of the "virtual" cartridges, you just put its number to control register and then issue "CAR" from SDX command line. Under OSS cartidges you can return to SDX with "DOS" command. Maxflash slot can be programmed with .BIN files prepared for the regular MF1 cartidges. I had to prepare a customized version of DLT flasher for the purpose, because the flashing utility from Atarimax does not operate on the virtual cartidge (differences in hardware). Diamond GOS slot has been done just for testing. I'm considering replacing it with another Maxflash 1MB slot. Sounds awesome - any chance this will be released? I'd be interested in this too. Quote Link to comment Share on other sites More sharing options...
Defender II Posted September 26, 2010 Share Posted September 26, 2010 Any idea on the cost? I'd be interested in at least 2 if they aren't too much. Quote Link to comment Share on other sites More sharing options...
candle Posted September 26, 2010 Author Share Posted September 26, 2010 this one won't go into production unless at least 25 units can't be sold - and this was blocking point for over a year now, so i'm not that convinced for 8mbit version with 512kbytes of internal RAM and 72cell cpld (Trub's unit has 36 cells) estimate would be $37, but as i said - this requires at least 25 orders then again - in what form it should sell? this is reprogrammable - configuration can be changed (so it would behave as stock SDX cart one day, and stock Corina or MAXFLASH next day), flash chips can be reprogrammed with this one anyone can have cart tailored to his needs, but it requires fairly high level of knowledge what this baby needs is a little bit of care from developers side Quote Link to comment Share on other sites More sharing options...
spookt Posted September 26, 2010 Share Posted September 26, 2010 Hi Candle. Is this your "ultimate memory expansion" board you talked about a while ago? I think the config Trub spoke about sounds awesome and would certainly buy at least 2 units at the kind of price you're talking about. Quote Link to comment Share on other sites More sharing options...
candle Posted September 26, 2010 Author Share Posted September 26, 2010 nope, this is cartridge, developed in the same time sound board was ultimate1mb (which are you reffering to) is another, later project Quote Link to comment Share on other sites More sharing options...
Dropcheck Posted September 26, 2010 Share Posted September 26, 2010 So is this an external cartridge board or an internal board ala intSDX by Lotharka? Quote Link to comment Share on other sites More sharing options...
candle Posted September 27, 2010 Author Share Posted September 27, 2010 (edited) perhaps this will be more convincing Edited September 27, 2010 by candle Quote Link to comment Share on other sites More sharing options...
sloopy Posted September 27, 2010 Share Posted September 27, 2010 perhaps this will be more convincing ok i had to have a smoke after just looking at it... sign me up for two, where do i send the bread? :') sloopy. Quote Link to comment Share on other sites More sharing options...
spookt Posted September 27, 2010 Share Posted September 27, 2010 (edited) Ah, I remember it now. Yeah I'd be interested in a couple if it comes with the above mentioned config. (Sparta/OSS ROMs etc) Edited September 27, 2010 by spookt Quote Link to comment Share on other sites More sharing options...
flashjazzcat Posted September 27, 2010 Share Posted September 27, 2010 Oh no... not more incredibly desirable equipment I need to save up for! Quote Link to comment Share on other sites More sharing options...
wizardnj Posted September 27, 2010 Share Posted September 27, 2010 Please put me down for one. Thanks Quote Link to comment Share on other sites More sharing options...
+bf2k+ Posted September 27, 2010 Share Posted September 27, 2010 I want one! Quote Link to comment Share on other sites More sharing options...
Recommended Posts
Join the conversation
You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.